作业帮 > 综合 > 作业

Error (10500):VHDL syntax error at biaojue.vhd(16) near text

来源:学生作业帮 编辑:搜狗做题网作业帮 分类:综合作业 时间:2024/08/05 17:42:21
Error (10500):VHDL syntax error at biaojue.vhd(16) near text "begin"; expecting an identifier ("b
Error (10500):VHDL syntax error at biaojue.vhd(16) near text "begin"; expecting an identifier ("begin" is a reserved keyword),or "constant",or "file",or "signal",or "variable"
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity biaojue is\x05
port (
xin:in std_logic_vector ( 6 downto 0 );
sel2:out std_logic;
);
end biaojue ;
architecture bev of biaojue is
begin
process ( xin );
variable j:integer :=0
begin
j:=0;
for i in 0 to 6 loop
if xin(i)='1' then
j:=j+1;
end if ;
end loop;
if j>3 then
sel2
Error (10500):VHDL syntax error at biaojue.vhd(16) near text
variable j:integer :=0 少了结束符“;”.应当为variable j:integer :=0;
再问: 那应该是我不小心复制掉啦,问题不在那,变量位置定义错啦,不过还是谢谢你
再答: 变量声明在PROCESS之后是正确的,但process(xin)之后不应当有“;”。